开启辅助访问 切换到窄版

搜索 【热搜: 高等数学, 考研, 自考, 中医, 小学, 中学, 摄影 等】

西安电子科技大学数字系统的VHDL设计 47讲 张冬阳主讲

[复制链接]
作者:QQC 
版块:
2060

升级   0%

QQC 发表于 2017-7-12 02:40:04 | 显示全部楼层 |阅读模式
课程名称:  西安电子科技大学数字系统的VHDL设计 47讲  张冬阳主讲

免费试看:   

资源目录:  



参考教材:VHDL硬件描述语言与数字逻辑电路设计(第三版) 侯伯亨等 西安电子科技大学出版社
第1章数字系统硬件设计概述
1.1传统的系统硬件设计方法
1.2利用硬件描述语言的硬件电路设计方法
习题与思考题
第2章数字系统的算法描述
2.1数字系统算法流程图描述
2.1.1算法流程图的符号及其描述方法
2.1.2算法流程图描述数字系统实例
2.2吠态机及算法状态机图描述
2.2.1状态机的分类及特点
2.2.2算法状态机流程图的符号及描述方法
2.2.3算法状态机图描述实例
2.2.4算法流程图至状态图的变换方法
/> 2.2.5状态图至算法状态机图的变换方法
2.2.6C语言流程图至算法状态机图的变换
习题与思考题
第3章VHDL语言程序的基本结构
3.1VHDL语言设计的基本单元及其构成
3.1.1实体说明
3.1.2构造体
.3.2VHDL语言构造体的子结构描述
3.2.1BLOCK语句结构描述
3.2.2.PROCESS语句结构描述
3.2.3SUBPROGRAM语句结构描述
3.3包集合、库及配置
3.3.1库
3.3.2包集合
3.3.3配置
习题与思考题
第4章VHDL语言的数据类型与运算操作符
4.1VHDL语言的客体及其分类
4.1.1常数
4.1.2变量
4.1-3信号
4.1.4信号和变量值代入的区别
4.1.5文件
4.2VHDL,语言的数据类型
4.2.1标准的数据类型
4.2.2用户定义的数据类型
4.2.3用户定义的子类型
4.2.4数据类型的转换
4.2.5数据类型的限定
4.2.6WEE标准“STD-LOGIC”和“STD_LOGIC-VECTOR
4.3VHDL语言的运算操作符
4.3.1逻辑运算符
4.3.2算术运算符
4.3.3关系运算符
4.3.4并置运算符
习题与思考题
第5章VHDL语言构造体的描述方式
5.1构造体的行为描述方式
5.1.1代入语句
5.1.2延时语句
5.1.3多驱动器描述语句
5.1.4GENERIC语句
5.2构造体的寄存器传输(RTL)描述方式
5.2.1RTL描述方式的特点
5.2.2使用RTL描述方式应注意的问题
5.3构造体的结构描述方式
5.3.1构造体结构描述的基本框架
5.3.2COMPONENT语句
5.3.3COMPONENTl_INSTANT语句
习题与思考题
第6章VHDL语言的主要描述语句
6.1顺序描述语句
6.1.1WAIT语句
6.1.2断言语句
6.1.3信号代入语句
6.1.4变量赋值语句
6.1.5IF语句
6.1.6CASE语句
6.1.7LOOP语句
6.1.8NEXT语句
6.1.9EXIT语句
6.2并发描述语句
6.2.1进程语句
6.2.2并发信号代入语句
6.2.3条件信号代入语句
6.2.4选择信号代入语句
6.2.5并发过程调用语句
6.2.6块语句
6.3其它语句和有关规定的说明
6.3.1命名规则和注解的标记
6.3.2ATTRIBUTE(属性)描述与定义语句
6.3.3GENERATE语句
习题与思考题
第7章数值系统的状态模型
7.1二态数值系统
7.2三态数值系统
7.3四态数值系统
7.4九态数值系统
7.5十二态数值系统
7.6四十六态数值系统
习题与思考题
第8章基本逻辑电路设计
8.1组合逻辑电路设计
8.1.1简单门电路
8.1.2编、译码器与选择器
8.1.3加法器与求补器
8.1.4三态门与总线缓冲器
8.2时序电路设计
8.2.1时钟信号和复位信号
8.2.2触发器
8.2.3寄存器
8.2.4计数器
8.3存储器
8.3.1存储器描述中的共性问题
8.3.2ROM(只读存储器)
8.3.3.RAM(随机存储器)
8.3.4FIFO(先进先出堆栈)
习题与思考题
第9章仿真与逻辑综合
9.1仿真
9.1.1仿真输入信息的产生
9.1.2仿真△
9.1.3仿真程序模块的书写
9.2逻辑综合
9.2.1约束条件
9.2.2属性描述
9.2.3工艺库
9.2.4逻辑综合的基本步骤
习题与思考题
第10章数字系统的实际设计技巧
10.1数字系统优化的基本方法
10.1.1相同电路的处理
10.1.2运算顺序的改变
10.1.3常数运算的运用
10.1.4相同运算电路的使用
10.1.5优化的必要性及其工程实际意义
10.2数字系统设计中的工程实际问题
10.2.1提高系统工作速度的方法
10.2.2缩小电路规模和降低功耗的方法
10.2.3系统误操作的成因及其消除方法
10.2.4非同步信号的控制方法
10.2.5典型状态机状态编码的选择
习题与思考题
第11章洗衣机洗涤控制电路设计实例
11.1洗衣机洗涤控制电路的性能要求
11.2洗衣机洗涤控制电路的结构
1l.3洗衣机洗涤控制电路的算法状态机图描述
11.4洗衣机洗涤控制电路的VHDL语言描述
习题与思考题
第12章微处理器接口芯片设计实例
12.1可编程并行接口芯片设计实例
12.1.18255的引脚与内部结构
12.1.28255的工作方式及其控制字
12.1.38255的结构设计
12.1.48255芯片的VHDL语言描述
12.1.58255芯片VHDL语言描述模块的仿真
12.2SCI串行接口芯片设计实例
12.2.1SCI的引脚与内部结构
12.2.2串行数据传送的格式与同步控制机构
12.2.3SCI芯片的VHDL语言描述
12.2.4SCI芯片VHDL语言描述模块的仿真
12.3键盘接口芯片KBC设计实例
12.3.1KBC的引脚与内部结构
12.3.2同步控制机构和查表变换
12.3.3KBC芯片的VHDL语言描述
12.3.4KBC芯片VHDL语言描述模块的仿真
习题与思考题
第13章VHDL语言93版和87版的主要区别
13.1VHDL语言93版的特点
13.287版到93版的移植问题
附录A典型EDA开发工具介绍
A.1简介
A.2MAX+plusII使用说明
A.2.1MAX+plusⅡ概况
A.2.2VHDL语言工程文件的建立和编辑
A.2.3VHDL语言程序的编译
A.2.4仿真
A.3Xilinx可编程器件集成开发环境ISE的使用说明
A.3.1ISE系统简介
A.3.2新建工程
A.3.3源代码的输入
A.3.4设计与仿真
A.3.5综合
A.3.6时序约束
A.3.7位置约束
A.3.8下载与配置
附录BVHDL语言文法介绍
附录C属性说明
附录DVHDL标准包集合文件
参考文献

下载地址:  
点我购买此课程 【 下载地址被隐藏,需向作者支付 1 个学币后才能显示下载链接-->【前往充值学币】

回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册
如果点微信登录没有反应,请用手机自带的浏览器输入网站再点击微信登录(需要扫码)!

本版积分规则

 
 
QQ客服1
672125364
QQ客服2
QQ客服3
客服微信hm344947004

关注网站公众号【极学客网】,获取推送资源
快速回复 返回顶部 返回列表